Doubt in property asserted inside always block (SystemVerilog Assertion)

If property is asserted in the always block, then, in which region read and write signals be sampled?? Kindly help!!
module myModule(input bit clk,read,write,reset);

property p;
@(posedge clk)
disable iff(reset)
not(read&&write);
endproperty

//assert property(p);
//asserting here samples the signals read and write in the preponed region

always@(posedge clk)
assert property(p);
//If property is asserted in the always block, then, in which region read and write signals be sampled??
//Kindly help
endmodule

In reply to aman62:


    ap_ab1: assert property(@ (posedge clk) a |=> b );  
    ap_ab2: assert property(@ (posedge clk2) a |=> b );  

    always_ff @(posedge clk) begin
        ap_ab1a: assert property(@ (posedge clk) a |=> b ); 
        ap_ab2a: assert property(@ (posedge clk2) a |=> b ); 
    end
// These two are identical, with variables sampled in the Preponed region.
// It's the same clocking event  
  ap_ab1: assert property(@ (posedge clk) a |=> b ); 
  always_ff @(posedge clk) begin
//       ap_ab1a: assert property(@ (posedge clk) a |=> b ); 


// FIrst the posedge clk occurs, then 
// At the next posedge clk2, variables a, b sampled in the Propned region of posedge clk2
  always_ff @(posedge clk) begin 
         ap_ab2a: assert property(@ (posedge clk2) a |=> b ); 

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr


  1. SVA Alternative for Complex Assertions
    Verification Horizons - March 2018 Issue | Verification Academy
  2. SVA: Package for dynamic and range delays and repeats | Verification Academy
  3. SVA in a UVM Class-based Environment
    SVA in a UVM Class-based Environment | Verification Horizons | Verification Academy

Thank you so much sir!! I was stuck in this problem. Your help means a lot!