Do we have any other method to achieve FORCE and RELEASE

Hi,
Does we have any other methods to achieve FORCE and RELEASE functionality in Verilog , SV or UVM …?
Thanks in advance

In reply to Shivakumar_G:

If you can explain what you are trying to accomplish that force/release doesn’t provide, perhaps someone can provide an alternative solution.