Disabling SV asserts at runtime

Hi Everyone,
Is there any way to disable all SV asserts by using some run-time switches?

Thanks in advance

In reply to Naven8:

Hi,

Yes, you can use $assertkill on your higher hierarchy , for example:

$assertkill(0, dut);

Thanks,
Nadav