Difference between a Sequence and a property in system verilog?

Difference between a Sequence and a property in system verilog(SVA)? Furthermore can someone elaborate the difference between goto[->] repetition and non-consecutive[=] repetition with an example?

Hi Yash,

You better read this concept in any assertion related reference books.
However, I am giving you some idea about that :

Sequence is the construct of the system verilog language, which encapsulates the set of complex linear sequential behavior in terms of the expressions within.
Property is used to check whether the design is producing these kind of sequential behavior in a way its suppose to generate or not.

Goto repetition operator is more worried about the next expression than the expression it is tied of. It specifies that, the expression should be repeated number of times(n, used with the operator([->n])) in a non-consecutive fashion with one or more clock cycle delays, and once it occurs, in the very next clock event, next expression should evaluates true.

Non-consecutive operator does have the almost same behavior, but in this case, the next expression may or may not be evaluates to true in the next clock event.

Regards,
Pratik