Default nature of function in SystemVerilog

What is the default nature of function in SystenVerilog (Static/Automatic) ?

Function being static/automatic merely depends on the container for declarations.The declarations inside module have a static lifetime , the declarations inside a class are dynamic and have an automatic lifetime.