Coverpoint bin set_covergroup_expression is unclear

it’s unclear to me how “set_covergrup_expression” is used, it’s defined in IEEE 1800-2012,
can any one give an example about this point ?!

I have an example here: Get Ready for SystemVerilog 2012 - Verification Horizons

In reply to dave_59:

Is the blog is not accessible anymore ? I get below error
blogs.mentor.com’s server IP address could not be found.

In reply to Navdeep Kaur:

Sorry, a few kinks in the transition to Siemens EDA. I’ve updated the link.