Coverage sampling

Coverage is triggered by sampling the covergroups.
I have come across 2 ways of sampling the covergroup -

  1. event based, where i say covergroup cg @(event);
  2. sampling it, via cg.sample();

Is there is any other way i can sample a covergroup ?

In reply to roopanandakumaran:

Only these two ways are available in SV.

Only these two ways are available in SV.

In reply to dave_59:

Thanks Dave !