Coverage Merging Issue

Hi,

I have covergoup cg and Iam creating two instances cg1 & cg2 of it. I always want to have average coverage of two instances for my covergroup cg. How would I do with and without using merge_instances option?

By default, the type coverage for covergroup cg will be weighted average of the instances, as the merge_instance’s default value is ‘0’, in which type coverage is calculated as weighted coverage of all instances.

In reply to sharat:

But in VCS its not supported. DO I have any other way to do it?

Another way can be to call get_inst_coverage for all the instances and calculate average from it.