COVERAGE

Hi,
I am generating different random values those are displaying in Console output.But the bins are not getting hit only zero value is hitting.Please help me out.Thanks in advance.

In reply to POTHUKA MADHURI REDDY:

Is your covergroup triggered by an event, say the rising edge of a clock, or are you calling the sample method?

In reply to sbellock:

I am calling the sample method.How can I pass the event clk in scoreboard(where i wrote Covergroup) as clk is in my DUT.

In reply to POTHUKA MADHURI REDDY:

You can accomplish that by passing a virtual interface to the scoreboard.