Constarint for strobe signal in AXI

Hi,

Can somebody help in writing the constraint for a strobe signal in AXI.

Requirements:

Strobe signal is of size 8 bits.
it should have either 0 or 1 and they have to be continuous.

Valid case:
0,0,0,1,1,1,1,1
0,0,0,0,0,0,0,1
1,1,1,1,1,0,0,0

Invalid vase:
0,1,1,1,0,1,1,1
1,1,1,1,1,0,0,1

In reply to likhith bommu:

See if this helps.

In reply to dave_59:

That helped Dave.
Thanks a lot.