Checking for time equivalence with sva assertion

Is it possible to check time equivalence with SV assertion? for example something like this:
assert property(@clk) ( x == 1 && y == 1) |=> (($time - previous_time) == (calculated_time))

Tx

See
https://verificationacademy.com/forums/systemverilog/checking-clock-period-using-system-verilog-assertion