Can we call a virtual method in base class using extended class handle/object?

suppose a method is defined as virtual in base class. using extended class handle/object is it possible to call the virtual method in base class?

In reply to Manoj J:

Yes.

In reply to svats:

can you give any example, I am not able to understand it .

Thank you
Manoj

In reply to Manoj J:

Calling super.virtual_method() calls the previous virtual_method() from class that the current method was extended from. If there are multiple levels of inheritance with multiple virtual_method() overrides, you skip over levels of extension by calling base_class::virtual_method() directly.

In reply to dave_59:

Thank you dave!!