ASYNCHRONOUS FIFO: Should I focus only on the top_level or maybe focus on the modules within the top_level design?

Hello everyone,

I hope to not bother, but for the first time I am approaching the world of the Verification by using System Verilog and I have learnt about the TB components only recently (Generator, Driver, Monitor etc). My actual task right now is to realize a testbench in SV, creating all those components, for an Asynchronous FIFO, which you can find at the following link:

http://www.sunburst-design.com/papers/CummingsSNUG2002SJ_FIFO1.pdf

I know when it comes to realize a testbench in Verilog for a design, I should only focus on the top_level of the design, even if there are multiple modules instantiated inside, but since now I need to realize the Transaction class, the Scoreboard etc I would like to be sure on what I need to focus to be honest.

Thanks whoever is going to answer me, wish you a good day.

Kind regards,

In reply to GiuseppeT:

  • I implemented Cummings asyn fifo in my book in both VHDL and Verilog.
  • should only focus on the top_level of the design, even if there are multiple modules instantiated inside, but since now I need to realize the Transaction class, the Scoreboard etc I would like to be sure on what I need to focus to be honest.
    I see 2 questions here:

  1. - The fifo as an IP. Thus, the verification can be done with SVA and a TB. I cover tassertions for a FIFO in my SVA 4th edition.
    - SInce the fifo is in a subsystem, top level verification of data flow is needed

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
** SVA Handbook 4th Edition, 2016 ISBN 978-1518681448

  1. SVA Package: Dynamic and range delays and repeats SVA: Package for dynamic and range delays and repeats - SystemVerilog - Verification Academy
  2. Free books:
  1. Papers:
    Understanding the SVA Engine,
    https://verificationacademy.com/verification-horizons/july-2020-volume-16-issue-2
    Reflections on Users’ Experiences with SVA, part 1
    Reflections on Users’ Experiences with SVA
    Reflections on Users’ Experiences with SVA, part 2
    Reflections on Users’ Experiences with SVA, Part II
    Understanding and Using Immediate Assertions
    Understanding and Using Immediate Assertions
    SUPPORT LOGIC AND THE ALWAYS PROPERTY
    http://systemverilog.us/vf/support_logic_always.pdf
    SVA Alternative for Complex Assertions
    https://verificationacademy.com/news/verification-horizons-march-2018-issue
    SVA in a UVM Class-based Environment
    https://verificationacademy.com/verification-horizons/february-2013-volume-9-issue-1/SVA-in-a-UVM-Class-based-Environment
    SVA for statistical analysis of a weighted work-conserving prioritized round-robin arbiter.
    https://verificationacademy.com/forums/coverage/sva-statistical-analysis-weighted-work-conserving-prioritized-round-robin-arbiter.
    Udemy courses by Srinivasan Venkataramanan (http://cvcblr.com/home.html)
    https://www.udemy.com/course/sva-basic/
    https://www.udemy.com/course/sv-pre-uvm/