Associative array of class type

If I have declared an associative array of axiTransaction type, and later try to store something in it, I get this error :

Do I need to “new” it before I can store something?

class myAxiTransaction extends denaliCdn_axiTransaction;
string interface_name;
endclass

typedef bit[34:0] my_type;

myAxiTransaction wr_input_array[my_type];

Inside a task :
wr_input_array[loc_addr].IdTag = elem_in_write_q.iid;

Error I get is . :

Error-[NOA] Null object access
/project/freya/fba/design/sharadhar/dv2/fbsource/fbcode/infra_asic_fpga/ip/fb_inference/dv/fb_inf_fi/gen/…/env/fb_inf_fi_scoreboard.sv, 190
The object at dereference depth 1 is being used before it was
constructed/allocated.
Please make sure that the object is allocated before using it.

Please help.

In reply to UVM_learner6:

The error message answered your question.