Assertions

hello,
my qstn is:

can we write:

property p1;
 @(posedge clk) a ##[1:$] !a |-> ##[1:$]b ;

endproperty

that seems not working ,can we add delay range in antecedent and precendent?

In reply to ramandeepk:

Please see Clarification on first_match() | Verification Academy