Assertion without a clock

How would one program an Immediate Assertion without a clock in ModelSIM.I am using a combinational circuit which doesn’t have any clock.

When i tried to assert a property like shown below :

assert property(^s1 !== 1’b0);

It is throwing an error saying that :
The sva directive is not sensitive to a clock. Unclocked directives are not supported.

Thanks,
Peter

In reply to Petersam:
An immediate assertion only works on combinational expressions, not properties or sequences. There are two kinds if immediate assertions; a simple_immediate_assertion and a deferred_immediate_assertion.
All assertions need some kind of mechanism to know when their expressions need to be evaluated. You can embed any kind of assertion as a statement within a procedural block of code, and that assertion executes based on its location in the block. But you probably want a declarative deferred_immediate_assertion that executes whenever the combinational expression changes.
The deferred nature of an immediate assertion prevents glitches from producing false actions because all operands of an expressions sometimes do not change simultaneously. That would look like

assert final (^s1 !== '0);

In reply to dave_59:

I above mentioned solution in not working in Questa sim 10.2c. If it wont work in 10.2c , then can you please provide me with an alternative solution which works for 10.2c.

Thanks,
Peter

In reply to Petersam:

Try assert #0 instead