Assertion in SV

I have written a layered testbench code for my design.
Now I need to introduce assertion in it.
I am not able to understand in which part of testbench shall I introduce it. Pls help

In reply to waibhav:

see Is using interfaces for SVAs instead of a simple bind file a good idea? | Verification Academy