Assertion to compare data values

Hi,

There is scenario.

  1. for every ready Singal which is high until valid Singal gets triggered. And the ready goes low the next cycle along with valid.
  2. for every ready & valid, there is data value which should not be equal to previous data value, this keeps repeating for many times.

How do I make sure that first data and consecutive data are unique

Thanks

In reply to syed taahir ahmed:

Check out my replies that address how supporting logic can solve this uniqueness issue.
https://verificationacademy.com/forums/systemverilog/need-help-write-assertion
https://verificationacademy.com/forums/systemverilog/assertion-expected-data-check/review-users-question
https://verificationacademy.com/forums/systemverilog/counting-number-events-clock-while-clock-o-forbidden

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr


  1. SVA Alternative for Complex Assertions
    Verification Horizons - March 2018 Issue | Verification Academy
  2. SVA: Package for dynamic and range delays and repeats | Verification Academy
  3. SVA in a UVM Class-based Environment
    SVA in a UVM Class-based Environment | Verification Horizons | Verification Academy

In reply to ben@SystemVerilog.us:

Thanks Ben, I will work on my issue with your pointers