In reply to lisa.lalice:
Check your code. If still correct, check with your vendor. The test code below gave me identical results for the whole simulation period. You can try it in your environment.
Also at https://www.edaplayground.com/x/PTGz
module top;
timeunit 1ns/10ps;
`include "uvm_macros.svh"
import uvm_pkg::*;
bit clk, req, ack;
initial forever #10 clk=!clk;
default clocking @(posedge clk);
endclocking
ap_reqack_direct: assert property(@(posedge clk)
$rose (req) |->
req[*1:$] ##1 !req intersect
$rose(ack)[->1] ##0 $fell(req));
property p_reqack_default_clk;
$rose (req) |->
req[*1:$] ##1 !req intersect
$rose(ack)[->1] ##0 $fell(req);
endproperty
ap_reqack_default_clk: assert property(p_reqack_default_clk);
property p_reqack_with_clk;
@(posedge clk) $rose (req) |->
req[*1:$] ##1 !req intersect
$rose(ack)[->1] ##0 $fell(req);
endproperty
ap_reqack_with_clk: assert property(p_reqack_with_clk);
property p_reqack_with_clk2;
$rose (req) |->
req[*1:$] ##1 !req intersect
$rose(ack)[->1] ##0 $fell(req);
endproperty
ap_reqack_with_clk2: assert property(@(posedge clk) p_reqack_with_clk2);
initial begin
repeat(200) begin
@(posedge clk);
if (!randomize(req, ack) with
{ req dist {1'b1:=5, 1'b0:=1};
ack dist {1'b1:=1, 1'b0:=5};
}) `uvm_error("MYERR", "This is a randomize error");
end
$finish;
end
endmodule
// Reesults
...
# ASSERT: Error: ASRT_0005 testbench.sv(10): Assertion "ap_reqack_direct" FAILED at time: 2,110ns (106 clk), scope: top, start-time: 2,090ns (105 clk)
# ASSERT: Error: ASRT_0005 testbench.sv(20): Assertion "ap_reqack_default_clk" FAILED at time: 2,110ns (106 clk), scope: top, start-time: 2,090ns (105 clk)
# ASSERT: Error: ASRT_0005 testbench.sv(27): Assertion "ap_reqack_with_clk" FAILED at time: 2,110ns (106 clk), scope: top, start-time: 2,090ns (105 clk)
# ASSERT: Error: ASRT_0005 testbench.sv(34): Assertion "ap_reqack_with_clk2" FAILED at time: 2,110ns (106 clk), scope: top, start-time: 2,090ns (105 clk)
# ASSERT: Error: ASRT_0005 testbench.sv(10): Assertion "ap_reqack_direct" FAILED at time: 2,150ns (108 clk), scope: top, start-time: 2,130ns (107 clk)
# ASSERT: Error: ASRT_0005 testbench.sv(20): Assertion "ap_reqack_default_clk" FAILED at time: 2,150ns (108 clk), scope: top, start-time: 2,130ns (107 clk)
# ASSERT: Error: ASRT_0005 testbench.sv(27): Assertion "ap_reqack_with_clk" FAILED at time: 2,150ns (108 clk), scope: top, start-time: 2,130ns (107 clk)
# ASSERT: Error: ASRT_0005 testbench.sv(34): Assertion "ap_reqack_with_clk2" FAILED at time: 2,150ns (108 clk), scope: top, start-time: 2,130ns (107 clk)