Assertion to check if a signal is a pulse

Is this a valid assertion to check if a signal is a pulse?
assert property (@(posedge clk) disable iff(rst_n)
$rose(sig a) |=> $fell(sig a));

In reply to Abhi_Ash:

Yes, if by pulse you mean true for only one clock cycle.