Assertion

Write an assertion to check whether the signal keeps on changing for every clock
sample?

In reply to G VINAY KUMAR:
Use the $past(sig).


ap_toggle: assert property(@(posedge clk) 
     sig==!$past(sig)); 

Ben Cohen
Ben@systemverilog.us
Link to the list of papers and books that I wrote, many are now donated.