Assertion

Write a assertion on 1stclk REQ high 2ndclk READY high and ACK high within 5clk cycles

In reply to vlsique:

Write an assertion on 1stclk REQ high 2ndclk READY high and ACK high within 5clk cycles

Great SVA 101 quiz!
Use an antecedent with a $rose, an implication operator and then a range delay.
Let us know how great you are progressing.
Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr


  1. VF Horizons:PAPER: SVA Alternative for Complex Assertions - SystemVerilog - Verification Academy
  2. http://systemverilog.us/vf/SolvingComplexUsersAssertions.pdf
  3. “Using SVA for scoreboarding and TB designs”
    http://systemverilog.us/papers/sva4scoreboarding.pdf
  4. “Assertions Instead of FSMs/logic for Scoreboarding and Verification”
    https://verificationacademy.com/verification-horizons/october-2013-volume-9-issue-3
  5. SVA in a UVM Class-based Environment
    https://verificationacademy.com/verification-horizons/february-2013-volume-9-issue-1/SVA-in-a-UVM-Class-based-Environment

In reply to ben@SystemVerilog.us:

Is this work fine

assert property (@(posedge Clock) $rose(REQ) => READY → [0:3] ACK );

OR

assert property (@(posedge Clock) ($rose(REQ) => READY) && [0:5] ACK );

In reply to vlsique:

I strongly recommend that you study SystemVerilog assertions from a book or other publications.
My book delves deeply into this topic; however, this paper recently came out and presents a quick outlook on assertions.

I also suggest that you use a simulator to compile your code.
https://www.edaplayground.com/
will allow you to run some sample test code.

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact http://cvcblr.com/home


  1. VF Horizons:PAPER: SVA Alternative for Complex Assertions - SystemVerilog - Verification Academy
  2. http://systemverilog.us/vf/SolvingComplexUsersAssertions.pdf
  3. “Using SVA for scoreboarding and TB designs”
    http://systemverilog.us/papers/sva4scoreboarding.pdf
  4. “Assertions Instead of FSMs/logic for Scoreboarding and Verification”
    https://verificationacademy.com/verification-horizons/october-2013-volume-9-issue-3
  5. SVA in a UVM Class-based Environment
    https://verificationacademy.com/verification-horizons/february-2013-volume-9-issue-1/SVA-in-a-UVM-Class-based-Environment