Accessing Multidimensional queues

Hello,
I would like to declare a multidimensional queue, (Ex :int priority_que [10][]) and be able to push values to it . It tried for (short int i =1 ;i < 8 ; i++ ) begin priority_que[i][]={1,2,3};
end
i get error in testbench in icarus Verilog 0.9.7
What would be the correct way todo this?

In reply to manchali:

You want

priority_que[i].push_back({1,2,3});

(I was not ware the Icarus supported SystemVerilog queues)