Use of ovm_algorithmic_comparator in scoreboard implimentation

Hi ,

can anybody explain with small example how i can use ovm_algorithmic_comparator to impliment score board .

Thanks
Basavaraj hakari

Hi Basavara,

I think this is what you are looking for.

You might want to look up some of the other threads around comparators I started. I think the use of a comp function rather than compare() is a bit funny and the fact that the constructor in the transformer isn’t standard is just wrong and really easy to fix.

However the attached example hasn’t addressed either of these concerns and should be ok with an out-of-the-box ovm2.0.1

Cheers

Thanks for help

In reply to AndrewBond:

Hi Andrew

Could you share the attachment with me? I am not sure how to get to it through this post.

Thanks
Poorva