TLM FIFO Depth

Hi Friends,

Can we control tlm/analysis FIFO depth? how to do that?
what is the default depth if not mentioned?

Waiting for your reply

Hi Akshay,

Yes, you can configure the depth of TLM FIFO.
When you new the tlm_fifo declare, the third argument that goes in the new() function gives the depth of the FIFO.

By default it is 1.

tlm_fifo #(transaction_type) fifo;
tlm_fifo = new(“tlm_fifo”, handle_of_class_in_which_fifo_is_defined, 5); // 5 is your depth/size

Hope this makes clear.

Ashish

Thanks Ashish