$time dependency on timeprecision

I have a number of subsysmtem test benches integrated as part of full chip test bench. The subsystem tbs have been re-used from old ASICs.

Now the issue I face in in one subsystem testbench, there are a number of clock checks and all comparison logic had hard coded values in units of ps.

In full chip simulation, due to definition of 10fs time precision in another sub-system, simulation time returned by $time is now appended with two zerors, ie, 20ps is now 2000 time units… This is causing a huge number of score board errors…

What is the efficient way to solve this.

Is there a construct to enable read $time return value in certain time units, say ps ??

Appreciate any appropriate solution to this issue.

Use $realtime/1ps to return the time in ps.