[SEQREQZMB] The task responsible for requesting a wait_for_grant on sequencer

Hi,
pseudo code of reactive sequence:

class p_layer_seq extends uvm_base_sequence
  task body()
  forever
    begin
     ->p_sequencer.event1;
      start_item(item, .sequencer(env.seqr));
      end_item(item);
    end
  endtask
  endclass

while executing above code i run into
[SEQREQZMB] The task responsible for requesting a wait_for_grant on sequencer has been killed, to avoid a deadlock the sequence will be removed from the arbitration queues
UVM_INFO @ shutdown_objection.
Please let me know is there a way to exit forever loop ? using starting_phase in pre_body(),post_body() to raise and drop objection respectively resulted in simulation hang situation.

Thanks
SP