Running OVM in modelsim 6.2b

Hi,
I want to run OVM in modelsim 6.2b.
I am a beginner for OVM though i know SV well.
modelsim 6.2b supports all SV constructs.But not
getting how to set it up for running OVM.Please guide.
Thanks,
Suyog
suyog255@gmail.com

The README-Questa.txt file lists which version of Questa is required to run OVM.

This is from the most recent (2.0.2)

±------------±-------------------------+
| OVM Version | Questa Version |
±------------±-------------------------+
| 1.0 | 6.3d |
±------------±-------------------------+
| 1.0.1 | 6.3d, 6.3e |
±------------±-------------------------+
| 1.1 | 6.3.d, 6.3e |
±------------±-------------------------+
| 2.0 | 6.3h, 6.4 |
±------------±-------------------------+
| 2.0.1 | 6.3{i,j}, 6.4, 6.4{a,b} |
±------------±-------------------------+
| 2.0.2 | 6.4{d,e}, 6.5, 6.5{a,b} |
±------------±-------------------------+

As you can see, Questa 6.3d is the oldest version supported (with OVM 1.0).

You also mentioned using ModelSim rather than Questa.
You may struggle just using ModelSim, as it doesn’t support some of the SystemVerilog verification features commonly used in an OVM environment, such as constrained randomization, functional coverage and assertions - these are Questa features

Cheers

  • Nigel

Hi Nigel,
actually i have used the features like constarined randomization,functional coverage and assertions in modelsim 6.2b.These all are supported by modelsim 6.2b.
My question is,Questa is a MUST for OVM? or we can do it with modelsim by adding up the OVM libraries into it?If yes, how to make the settings.
Do we get free version of questa anywhere on net? If yes, i will be very thankful if you provide me the link.
Thanks,
Suyog
suyog255@gmail.com

Hi Nigel,
actually i have used the features like constarined randomization,functional coverage and assertions in modelsim 6.2b.These all are supported by modelsim 6.2b.
My question is,Questa is a MUST for OVM? or we can do it with modelsim by adding up the OVM libraries into it?If yes, how to make the settings.
Do we get free version of questa anywhere on net? If yes, i will be very thankful if you provide me the link.
Thanks,
Suyog
suyog255@gmail.com

Hi Suyog

Questa licenses are a superset of ModelSim’s, thus you can run ModelSim and be pulling Questa licenses without knowing it !

SystemVerilog assertions, functional coverage and constrained randomization DO require the Questa
svverification
license feature.

There is no free version of Questa, but Mentor runs various programs like its’ Higher Education and Questa Vanguard Partner programs that allow access to Questa at reduced rates. You’d need to speak to your local Mentor office to see if you qualify for these.

Hope that clarifies the situation

Best regards

  • Nigel