Questasim 6.6d and ovm randomization

Hi,
I am using Questasim 6.6d and many times i have observed that if "req.randomize() with " fails it never prints failure message. Also same for constraint failure. Has anyone face the same issue?
If anyone knows any work around please let me know.

randomize() is a function that returns true if the constraints can be met, false if they cannot be met. It’s up to the user to test it and print a message.
If you are calling req.randomize() as a stand-alone statement, you should get a warning like
** Warning: Treating stand-alone use of function ‘randomize’ as an implicit VOID cast.

That’s your clue to either test it, or if you don’t care if it passes or fails, cast it withvoid’(req.randomize())

Use -solvefaildebug switch to vsim command. Whenever randomize() fails it will print the reason for failure.