PLI warning in Questasim


When I use $assert(get_config_int(“a”,a)) in the connect method of one of the classes then I get the following warning

**

** Warning: (vsim-PLI-3003) …/sv/axi_master/axi_master_monitor.sv(36): [TOFD] - System task or function ‘$assert’ is not defined.<O:p</O:p**

**

Region: /axi_tb_top_sv_unit::axi_master_monitor::axi_master_monitor__1::connect**



Can anyone resolve this issue?<O:p</O:p

Remove the $

assert is a SystemVerilog keyword, not a PLI function

assert(get_config_int("a",a))

-Kurt

Thanks for correcting me, but I got confused because the warning says vsim-PLI-3003 , for it to be a PLI function.