Ovm_object create function

Hello,
I have an ovm_object which has a new() function with few extra arguments. Will this new() gets called with type_id::create() call ? Tried adding arguments to type_id::create() call and also tried to add create() function with my arguments in ovm_object and both ended up in compile errors. What is the right way to do this ?

Thanks

In reply to jjose:

You must follow the ovm prototype for the new() function. You can not add in any extra arguments.

If you need to set additional variables, you will need to do that after the object is created.

In reply to cgales:

thank you. This is what I am trying now.