Ovm_analysis_imp

Hi
In my design I have analysis_port defined for both input and output of DUT.
I want to use both of them in scoreboard.
when I define a write function in scoreboard for ovm_analysis_imp, its giving elaboration error.

How Can I write “write” functions for both analysis ports whose input arguments are different separately.
Regards
Bhaskar

You can add before your class defination
ovm_analysis_imp_decl(_P1) ovm_analysis_imp_decl(_P2)
and then while defining write method, instead of write you can use write_P1 and write_P2 so u will get two different methods…

Hi
I am using Questa 6.4C. and it says this class definition within a class is not supported.
That is the reason why I am unable to use the code you specified.
Regards
Bhaskar

Hi
I am using Questa 6.4C. and it says this class definition within a class is not supported.
That is the reason why I am unable to use the code you specified.
Regards
Bhaskar

I think if you use the two analysis_ports for input or output in DUT in two ovm component? If this, you can
define two analysis_imp in scoreboard and in the testbench you can connect one to input and another to
output. But i dont know what is your environment in verification.

Hi Bhaskar,

Wish this link would be helpful for you. Here I had explained about the various ways of connecting the ovm monitor to the ovm scoreboard.

[HTML]
http://www.ovmworld.org/forums/showthread.php?877-Connecting-OVM-Monitor-with-OVM-Scoreboard!!-Wish-would-be-helpfull-for-people-!!
[/HTML]

Thanks,
Desperado → “Want to Breath some Fresh Air ;)”