How connect interface and interface?

Hi.

I have two dut.

I try to integration level test using ovm.

each dut has individual interface.

and i try to connect them.

Top testbench is as below.

module my_tb;

A_interface a_if();
B_interface b_if();

A_dut a_dut(
.
.
.c(a_if.c));

B_dut b_dut(
.
.
.c(b_if.c));

endmodule

but, i can’t connect c signal of two interfaces.

how connect c signal ?

thanks.

You have not at all established a connection for a_if.c & b_if.c signals.
In the my_tb, you can have following: (assuming that c is driven by A_dut)

assign b_if.c = a_if.c;