Hierarchical reference in package

Hi All ,
I’m getting this error , During elaboration time ,

Error :
Remove hierarchical reference from the package

    I'm having the normal function that is presents in the TB, 

I want to call the function from OVM package. Whether its applicable or not , because i’m seeing in some sites & SV PDF .
"Items within packages cannot have hierarchical references ".

Below are the code for Function call in package:

         sample_tb.display_function (a,b,c);

Thanks,
Karthik G

In reply to Karg188:

The content of a package does not have any position in the topology of a testbench. For this reason it is not legal to use hierarchical paths in a package. This is not a limitation. You have to import the package content in the component you want to use a member of a package. Then it is available in this place.