Functional coverage in questa?

Hi all…

How to generate the functional coverage using questasim??

what is the command should i have to give during the simulation and the post processing to get the coverage reports!!

Kindly help out…

Thanks in advance…

Hi all…
How to generate the functional coverage using questasim??
what is the command should i have to give during the simulation and the post processing to get the coverage reports!!
Kindly help out…
Thanks in advance…

Try:

From UNIX/Cygwin prompt:

vcover report

WIthin vsim prompt you can use “fcover -report”, read their user guide for more options. Also explore their GUI, it is intuitive and easy to navigate for first timers.

Good luck
Srini
www.cvcblr.com/blog

Hii Srini,

Thanks for your reply…

Can you plz give it elaborately, like what options has to be given during the compilation and what option has to be given during simulation…

That would be helpful…

thanks,

Wafer,

Please read the user manual section “Verification with Functional Coverage”. In fact the second page gives you the basic command line options you need.

Dave

Hi,

In the run script, what line should be included to generate the ucdb format of functional coverage info? We are not able to progress. Can any one please help or point out any doc/website which can help us figure this out

In reply to rudra2255:

As Dave mentioned previously, read the Questasim user manual section on “Verification with Functional Coverage”.