Error-NOA Null object access

Hi all,

I’m getting this error from VCS:

Error-[NOA] Null object access
/nfs/iir/disks/firestarter_user_disk001/manuprak/work3_cov/fst_scss_verif/verif/pkg/fst_scss_env/interface/fst_scss_sva_ctrl_if.sv, 19
The object at dereference depth 0 is being used before it was
constructed/allocated.
Please make sure that the object is allocated before using it.

#0 in fst_scss_tb_top.i_fst_scss_tb_th.i_fst_scss_ti.fst_scss_sva_ctrl_if_h
at
/nfs/iir/disks/firestarter_user_disk001/manuprak/work3_cov/fst_scss_verif/verif/pkg/fst_scss_env/interface/fst_scss_sva_ctrl_if.sv:181

I have specified a covergroup inside an interface:

covergroup cg_ccu_sys_clk @(negedge check_dis);
DIV_VALUE : coverpoint env_cfg.clk_div {
bins div_values = {[0:3]};

                    }
    
    FREQ_SEL  :     coverpoint env_cfg.freq_sel {
                       bins freq_sel_values = {[0:3]};
                    }

    DIV_VALUE_X_FREQ_SEL : cross DIV_VALUE, FREQ_SEL;

endgroup

cg_ccu_sys_clk ccu_sys_clk_cov = new();

Could anyone help out with this ?

Where do you call new() for your covergroup? Do you call new() prior to trying to sample your covergroup?