Error-[MFNF] Member not found ... Could not find member 'add_typewide_sequence' in class

Error-[MFNF] Member not found … Could not find member ‘add_typewide_sequence’ in class

Hi, I am having the error above and I don’t understand the meaning.

My hello world at : my_ovm_hello_world - EDA Playground

Need some layman term explanations here, thanks.

P/S : this hello world example was created based on John Aynsley videos with minor modifications.

In reply to ChChee:

Error is coming due to : instead of using ovm_sequencer_utils(tb_sequencer), you used ovm_component_utils(tb_sequencer), hence add_typewide_sequence method missing from sequencer class …
did see lot of errors once it fixed