Doubt on connections between components

Hi

Can we connect ovm_port to ovm_port on other component,If no what is the reason we are connecting port to export?

Thanks
Bharath

You may want to see Understanding TLM | Advanced OVM | UVM/OVM Verification Methodology | Verification Academy

Do not confuse port of a module that has a direction for signal flow(input, output, inout) with a TLM port and export. TLM connections are made by passing handles so that a call to get or put in one component winds up calling a task in another component. The port or export designates the direction that the handle needs to be passed so that the initiator port (the component making the call) can contact the responder export (the component executing the call). The flow of data is independent of the connection.