Connecting Multiple Ports to the same component

Hi,

I have multiple instances of a monitor in my environment to be connected to the same scoreboard. The number of monitors is controlled at run-time, so number of connections cannot be hard coded. Can you tell me what type of connection is recommended for this?

Thanks,
Vishnu

you may try `uvm_analysis_*_decl() . Most of port/export/imp are created in runtime, typically inside of constructor.

andrew

In reply to aming:

Do I need to use the `uvm_analysis_*_decl() macro even if the implementation is the same? Or can I just use multiple instantiations of the same port?

Thanks
Vishnu

It depends on your TB. There are two ways: either use uvm_analysis_*_decl in your sb, or uvm_tlm_analysis_fifo inside of sb
Andrew