Transitional coverage question

Hi All,

I have a question regarding coding a functional CP. We’ve been looking at the CP code and cannot see anything obviously wrong so are hoping that you could take a look and let us know what we are missing.

The CP intends to hit a transition of a bus from ??ff->??7f->??3f->??1f->??0f->??07->??03->??01->??00, where each state is held for 2 clock cycles.
covergroup pmclk_cg_func (string instComment) @( ch0lp3afe_spy_interface_ins.pmclk);

  option.per_instance = 1;
  option.comment = instComment;
  AFE_DQCCPM_ins1_cp_imod_func_pgate_bl0_vnnpwronstaggered_dbg : coverpoint ch0lp3afe_spy_interface_ins.AFE_DQCCPM_ins1_cp_imod_func_pgate_bl0_vnnpwronstaggered_trans iff((disable_analyzer===1'b0)&&(ch0lp3afe_spy_interface_ins.trans_ospid_init_complete===1'b1)) {
    wildcard bins AFE_DQCCPM_cp_dis = ( 16'h??FF=>16'h??7F[*2]=>16'h??3F[*2]=>16'h??1F[*2]=>16'h??0F[*2]=>16'h??07[*2]=>16'h??03[*2]=>16'h??01[*2]=>16'h??00 );

}

A waveform shows that this scenario does happen . I am not sure how to attach a picture here.

I broke the CP transition into different chunks as below and observe that when the transition is hit when coded for up-to 3 transitions, but no more. What is wrong with the CP code?

Thanks,
Asmita