Tracking coverages

Hello! I want to know if it possible to track a particular bin in the transcript apart from checking the % coverage of that bin in the covergroup tab. Look at the below code:

c1: coverpoint addr {
							  
				bins a1    =  {0,1,2,3};
							  
			        bins a2[]  =  {[4:7]};
							  
    			        bins a3[2] =  {[5:8],[9:11],12};
							  
				bins a4[]  =  {[13:$]};
							 
				bins a5[]  =  (0 => 6[*2] => 10);
							  
				   }
							
	       c2: coverpoint data {
		
				 bins d1[]   =  {0,1,2,3,4,5};
							  
				 bins d2[2]  =  {[6:15],[16:30]};
							  
				 bins d3     =  {[31:50]};
							  
				 bins d4[]   =  {51,52,53,54,55,[56:100]};
							  
				 bins d5     =  {[100:$]};
							  
				 bins d6     =  (55 => 10 => 12,18 => 10[=3]);
                                     
                                   }

               c3: cross c1,c2 {
  
                                 bins ad21   =   binsof(c1.a1) && binsof(c2.d6);

                               }

I want to track bins ad21 and want a message to be displayed at the end indicating whether the bin is hit or not and if it is hit at which randomization.

Thanks!