Toggle Coverage

How to get the automatic creation of bins based on the parameterized bus signal width?

In reply to Akarsh B Gurematti:

See functional coverage to check each bit is toggled or not in a memory | Verification Academy