SVA Property vs Sequence

Hi,

Can someone tell me what is the difference between Sequence and Property in system verilog assertions?

Thanks,

Mukul

In reply to mukul1996:

https://verificationacademy.com/forums/systemverilog/assertions-1#reply-43448