Hello Sir,
Can you please refer me to the post in Verification Academy providing solution for
how to write bins for the array as coverpoint. For example:
class model extends uvm_subscriber#(packet_item);
`uvm_component_utils(model)
packet_item obj;
covergroup cg;
coverpoint obj.attrID
{
bins a = {8'h21};
...
...
...
}
...
...
where attrID is a variable having definition like this: rand bit [7:0]attrID;
Please guide and help in this regard.
Regards
Sunil Sharma