Name of the array as coverpoint

Hello Sir,

Can you please refer me to the post in Verification Academy providing solution for
how to write bins for the array as coverpoint. For example:

class model extends uvm_subscriber#(packet_item);
    `uvm_component_utils(model)
    packet_item obj;
   covergroup cg;
   coverpoint obj.attrID
   {
    bins a = {8'h21};
    ...
    ...
    ...
   } 
   ...
   ...

where attrID is a variable having definition like this: rand bit [7:0]attrID;
Please guide and help in this regard.

Regards
Sunil Sharma

In reply to sunils:
You will need to create an array of covergroups with a coverpoint for each element. See Coverpoint for an array or queue | Verification Academy

Note that another issue you will run into is that you cannot create an array of embedded covergroups in a class. The covergroup needs to be declared outside the class.

In reply to dave_59:

Thanks Dave, it solves my issue.

Regards
Sunil Sharma.