Per Instance Covergroup

I am writing a covergroup which will have multiple instances, The covergroup is defined with option.per_instance=1.
But in the report generated i get the report for per instance and also the individual coverpoint. I am not expecting the individual coverpoint.
Code snippet as below

covergroup test_cg
 option.per_instance=1

test1_cp : coverpoint abc;
test2_cp : coverpoint def;

endgroup

//CREATING 4 Instances of covergroup
for (i=1; i<5; i++)
begin
test_cg_instance_i = new(); //Please ignore the syntax. 
end

Generated report

test1_cp
test2_cp

*test_cg_instance_0: test1_cp
test2_cp

test_cg_instance_1: test1_cp
test2_cp

test_cg_instance_2: test1_cp
test2_cp

test_cg_instance_3: test1_cp
test2_cp*

I am not expecting the coverpoint highlghted here in bold. Can anyone please help?

Thanks,
Debashis

In reply to debashis_paul:
Please use code tags making your code easier to read. I have added them for you.

I believe some tools show the collected coverage both ways for debugging, but overall coverage is computed based on your instance setting.

This Mentor sponsored public forum is not for discussing tool specific issues. Please read your tool’s user manual or contact your tool vendor directly for support.