Illegal_bins other = default

does the below syntax work ,
illegal_bins others = default;
because I don’t want tat to be included in tat total coverage percentage , tats why

if the above doesn’t work,
bins others = default; is the only option, will this count for total coverage percentage??

In reply to unofficial_id:

Both syntaxes work, but you get difficult to control error messages for hitting
illegal_bins others
.

Also, no there need to explicitly ignore bins unless you need know they have been hit.

Thanks dave_59,
I haven’t put up any assertions for illegal bins yet…
so ill try to continue wit tat, once assertions are put up, i’ll convert the illegal type to ignore bins.