Ignore_bins for cross on transition coverpoints

I have the following code:

size_cp: coverpoint cov_params.size{
    bins size[] = (64,32=>64,32=>64,32);
}
channel_cp: coverpoint cov_params.channel{
    bins channel[] = (0,1=>0,1=>0,1);
}

channel_size_cross: cross channel_cp, size_cp;

I want to ignore bins in the cross where channel = 1 and size = 32.
How do I write the ignore_bins syntax?
I tried:

     ignore_bins ch_1_size_32 = binsof (size_cp) intersect {32=>64,32=>64,32} && binsof (channel_cp) intersect {1=>0,1=>0,1};

The compiler doesn’t like the syntax. I tried other variations as well.
Please help.
Thanks!

In reply to Avi_311:

SystemVerilog only recognizes the last value of a transition in a cross bin selection.

Normally people do not mix transitions with values in the same bin. The values are redundant in your case. However might be easier to create separate overlapping bins just for making your cross easier to define.

size_cp: coverpoint cov_params.size{
    bins s64 = {64};
    bins bsize[] = (32=>64,32=>64);
}
channel_cp: coverpoint cov_params.channel{
    bins c0 = {0};
    bins channel[] = (1=>0,1=>0);
}
 
channel_size_cross: cross channel_cp, size_cp {
  ignore_bins ig = binsof(size_cp.size) || binsof(channel_cp.channel);
}

In reply to dave_59:
Thank you for your reply!
Without expressly defining bins inside the cross, the cross will give me the following:
<(32=>32),(1=>1)>,<(32=>64),(1=>1)>,<(64=>32),(1=>1)>,<(64=>64),(1=>1)>,
<(32=>32),(0=>1)>,<(32=>64),(0=>1)>,<(64=>32),(0=>1)>,<(64=>64),(0=>1)>,
<(32=>32),(0=>0)>,<(32=>64),(0=>0)>,<(64=>32),(0=>0)>,<(64=>64),(0=>0)>,
<(32=>32),(1=>0)>,<(32=>64),(1=>0)>,<(64=>32),(1=>0)>,<(64=>64),(1=>0)>

What I’m trying to ignore is any time the covergroup sampled (channel = 1 && size = 32)
meaning I want to eliminate the following bins:
<(32=>32),(1=>1)>,<(32=>64),(1=>1)>,<(64=>32),(1=>1)>,<(32=>32),(0=>1)>,
<(64=>32),(0=>1)>,<(32=>32),(1=>0)>,<(32=>64),(1=>0)>

If I implement what you answered above, that would help?

In reply to Avi_311:

That gives me a better picture of what you’re trying to do. You want to ignore bins that start their transitions where channel = 1 and size = 32 or end their transitions where channel = 1 and size = 32. Unfortunately there’s no easy way to ignore bins based on starting points of a transition. You can still use my technique of creating more specific coverpoint bins that contain only the transitions you want in your cross.