Ignore bins with transition (wit iff condition)

Hi, I have this coverpoint:


SAMP_cp :coverpoint data
{
   bins TRANS_A = ([0:3] => [0:3])
   ignore_bins IGNORE = (0 => [0:3]) iff(ignore)
}


And it doesn’t work correctly,
even when ignore=0 it put some bins in ignore,
and when ignore=1 is not do all the options.

Please suggest where I am wrong,
Thanks

In reply to Junior:

The iff construct only disables sampling of a coverpoint bin; it does not prevent construction of a bin.

You can break this up into two coverpoints and set the weight if the coverpoint to 0 that you want to ignore.

SAMP_cp0 :coverpoint data
{
   bins TRANS_A = (0  => [0:3])
}
SAMP_cp13 :coverpoint data
{
   bins TRANS_A = ([1:3]  => [0:3])
}