How to know which cross bins are uncovered for a feedback coverage generation?

Hi,
I would like to know if there is a way of getting uncovered combinations of cross bins to be used in a feedback coverage scheme.

Let’s say I have the following coverpoints being crossed. And my randomization “never” generates the combination of ‘addr1-IDLE’, for example. My idea is to collect the uncovered cross bins and use it in a distribution weighting to improve my stimulus generation.
I know SystemVerilog doesn’t allow querying coverage on individual coverage bins. And I also know there is a workaround which is to create individual coverpoints for each bin. But how can I do this for cross bins? Can I use querying coverage functions like get_coverage(), get_inst_coverage(), …?

ADDRESS : coverpoint addr {
    bins addr0 = {0};
    bins addr1 = {1};
    bins addr2 = {2};
    bins addr3 = {3};
  }
  CMD : coverpoint cmd {
    bins READ = {0};
    bins WRITE = {1};
    bins IDLE  = {2};
  }
  CRS_ADDR_CMD : cross ADDRESS, CMD;

Thank you.

In reply to rdflores:

get_coverage only works down to the coverpoint level. Your tool can generate reports that show you the uncovered cross bins. To move your cross bins into coverpoints requires the painfull process of

addr0READ: coverpoint addr==0 && cmd==READ {bins hit = {1};}
addr1READ: coverpoint addr==1 && cmd==READ {bins hit = {1};}
...
addr3IDLE: coverpoint addr==3 && cmd==IDLE {bins hit = {1};}

A warning to you is that many people have tried what you want to do with limited success. It is not that simple to find a missing bin and then know how to adjust your weights to get the desired values. Sometimes there’s a constraint conflict preventing your desired values. It might be easier to use a directed test to get your values.

In reply to dave_59:

Thanks Dave!