How to ignore "default" clause in covergroup

I want to ignore “default” with easier way intuitively.
How to specify default as an ignore? Should I specify it like

ignore_bins {0, 2,4,6,7}

Below code is compile error.

bit[2:0]       cpt;

  covergroup cg;
    cov_cpt      : coverpoint cpt     { bins g1 = {1};
                                        bins g2 = {3};
                                        bins g4 = {5};
                                        ignore_bins others[] = default;  // <-- error
                                      }
  endgroup

Thank you

In reply to tsb_matumoto:
There is no need for a default ignore bins. Only the specified bins will be counted.

In reply to dave_59:

Thanks a lot!
I had a misunderstanding on that.

Regards